admin管理员组

文章数量:1559094

critical
warning: the following clock transfers have no clock uncertainty
assignment. for more accurate results, apply clock uncertainty
assignments or use the derive_clock_uncertainty command.
critical warning: from altera_reserved_tck (rise) to altera_reserved_tck (rise) (setup and hold)
critical warning: from altera_reserved_tck (rise) to altera_reserved_tck (fall) (setup and hold)
critical warning: from altera_reserved_tck (fall) to altera_reserved_tck (fall) (setup and hold)

参考了很多文献。altera上说是时序问题,并提供了如下解决方法:

solution
the altera_reserved_tck pin is automatically generated for a design that uses a jtag accessible module such as the signaltap? ii logic analyzer,the in-system memory content editor or the nios? ii debugger.to constrain this jtag clock, apply a 10-mhz clock constraint to this pin.for the timequest timing analyzer, use the following command:create_clock -period "100.000 ns" -name {altera_reserved_tck} {altera_reserved_tck}for the quartus? ii classic timing analyzer, use the following command:
set_global_assignment -name fmax_requirement "10 mhz" -section_id altera_reserved_tck
set_instance_assignment -name clock_settings altera_reserved_tck -to altera_reserved_tck
any datapaths crossing into the altera_reserved_tck clock domain from another domain can be set as false paths. similarly any datapaths crossing from the altera_reserved_tck domain to another domain can also be set as false paths.

好像有些人用了这个方法之后有效果。但是我的没有效果。有另外搜索了好多。实验了很多次,尽管使用的命令各不相同,但是大家一致认为这个事是时序约束没做好的问题,跟使用jtag有关系。最后找到一片博文:http://blog.sina/s/blog_436c7ed30100lu1q.html
set_clock_uncertainty -setup -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150
set_clock_uncertainty -hold -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150
set_clock_uncertainty -setup -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty -hold -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty -setup -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty -hold -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
这几句话添加上去,就没有warning了。

 

quartus ii 全编译出现严重警告按以下方式解决:

首先看命令 derive_clock_uncertainty 的帮助,再根据自己的问题在*.sdc文件中加入

set_clock_uncertainty  -setup -rise_from clock -rise_to clock 0.150

set_clock_uncertainty  -hold -rise_from clock -rise_to clock 0.150

保存,重新编译。严重警告就消失了。

本文标签: warning